PDA

View Full Version : Quick question about simulating VHDL in Linux



raptir
January 22nd, 2008, 04:52 AM
I'm currently taking a course that involves writing VHDL programs to simulate circuits. The "officially" supported environment for the class is Modelsim, but there's no student version for Linux/UNIX. I installed GTKwave and GHDL and tried reading through the documentation, but I was wondering if someone could give me a quick rundown on how to do this. I have a VHDL program written, as well as a testbench for it. How do I use GTKwave and GHDL to get the waveform out of the simulation? I take it I have to use GHDL to run the simulation, and then GTKwave to analyze the file, but I'm just having trouble figuring out the proper commands. Thanks in advance for any help.

raptir
January 23rd, 2008, 12:25 AM
I guess my main question is just how to get GHDL to execute a simulation using a test bench. Once I have the output from GHDL, it shouldn't be too hard to view it in GTKwave.